Manual de VHDL: Síntesis lógica para PLDs (2ª edición revisada y ampliada)

Manual de VHDL: Síntesis lógica para PLDs (2ª edición revisada y ampliada)

El lector tiene un libro que le enseñará de una forma práctica a utilizar el VHDL y a implementar estos diseños en CPLDs y FPGAs de la empresa Xilinx. El desarrollo del libro tiene como hilo conductor a los ejercicios, cuyos planteamientos dan pie a un uso cada vez más potente del VHDL.

  • Cover
  • Title page
  • Copyright page
  • Índice
  • Prólogo
  • Lección 1. Primer contacto con VHDL
    • 1.1 Objetivos
    • 1.2 Introducción
    • 1.3 Un enunciado más complicado
    • 1.4 Estilos de programación en VHDL
    • 1.5 Otros enunciados
    • 1.6 Un aviso
  • Lección 2. Sistemas combencionales básicos
    • 2.1Objetivos
    • 2.2 Enunciados combinacionales
    • 2.3 Ejercicios
    • 2.4 Conclusiones
  • Lección 3. Sistemas secuenciales básicos
    • 3.1 Objetivos
    • 3.2 Conceptos de sistemas secuenciales
    • 3.3 Registros
    • 3.4 Contadores
    • 3.5 Ejercicios
  • Lección 4. Un primer sistema complejo
    • 4.1 Introducción
    • 4.2 Descripción funcional
    • 4.3 Descripción en bloques
    • 4.4 Simulación
    • 4.5 Implementar en FPGA
    • 4.6 Implementación en un CPLD
    • 4.7 Ejercicios
  • Lección 5. Autómatas
    • 5.1 Introducción
    • 5.2 Autómatas de Moore y Mealy
    • 5.3 Autómatas o FSM’s en VHDL
    • 5.4 Ejemplos básicos
    • 5.5 Captura de una entrada asíncrona
    • 5.6 Ejercicio
  • Lección 6. Sistema secuencial complejo
    • 6.1Objetivo
    • 6.2 Enunciado
    • 6.3 Proyecto Divisor
    • 6.4 Proyectos opcionales
  • Lección 7. Lenguaje VHDL
    • 7.1 Objetivos
    • 7.2 Introducción
    • 7.3 Estilos en VHDL
    • 7.4 Aspectos e instrucciones genéricas del VHDL
    • 7.5 Instrucciones de VHDL
    • 7.6 Consejos de codificación
  • Bibliografía

SUBSCRIBE TO OUR NEWSLETTER

By subscribing, you accept our Privacy Policy